Intel (Altera) FPGAに出てくるファイル名

いちり
いちり

Quartus Prime Liteを使ってMax10のKitを動かしてるけど、ファイル名がやたらと多くて大変なのでここに書いておきます。

拡張子xxx.qipの(.)ドットから後ろのqipの部分
関係ソフト名
    拡張子のファイルが使用されるソフト
  1. Quartus Prime (Lite)

  2. Platform Desginer

  3. NiosII Software Builder Tool

  4. ModelSim

  5. Signal Tap

  6. まだまだたくさんあるみたい。
説明拡張子のファイルの説明
拡張子関係ソフト名説明
.bsfQuartus PrimeBlock Symbol File
電子回路図で使用する為のもの。
.cdfQuartus PrimeChain Description File
JTAG転送用の設定ファイル
.sof+.pof+ターゲットデバイス名+オプション等
.doModelSimModelSimタイミングシミュレーション実行手順(マクロ)を記述するファイル
.elfNiosII SBT(Eclipse)Executable and Link Format
Intel FPGAに組み込めるマイコンNios IIで実行できるC言語がコンパイルされて各種オブジェクトとリンクされた実行ファイル
.flashNiosII SBT(Eclipse)Nios IIの実行ファイル。.c->assemble-> オブジェクトファイル->実行.elfファイル -> ROMに書き込む.flashファイル
.ipQuartus Prime
Platform Designer
IP Core variableのパラメータ
.jicQuartus PrimeJTAG Indirect Configuration
FPGAをコンフィギュレーション用に使用する外付けROMへの書込みファイル。 Quartus Primeで.sofから変換。 JTAGからFPGAを介してROMに転送される。
.hiofPlatform Designer?HPS(Hard Processor System) のI/Oファイル
.imgFPGAがSDからコンフィギュレーションする場合のLinux Imageフォーマット
.ppfQuartus Prime
(Pin Planner)
ピンの配置(割付)
.pofQuartus PrimeProgram Object File FPGAのCFM(フラッシュメモリ)に書き込む為のファイル
.qarQuartus PrimeQuartus Archive file
.qpf同様?、プロジェクトに必要なファイルが含まれていて一括で読み込める
.qarlogQuartus PrimeQuartus Archiveのログ
.qdfQuartus PrimeQuartus Default File
.qipQuartus Prime
Platform Designer
Quartus IP コアのインスタンス化されたファイル
Platform Designerで”Generate HDL"とした時に生成される。
Quartus Primeでプロジェクトに追加する事で使用できる。
.qpfQuartus PrimeQuartus Project File
.qar同様、Quartusでプロジェクトのファイルが一括で保存・読み出しができる
.qsfQuartus PrimeQuartus Setting File
Quartusの各種設定値のファイル
.qsysQuartus Prime
Platform Designer
Qsysファイル
Platform Designerの前の名前がQsys。 Platform Designerで連結した内部デバイス群情報ファイル。 このファイルをQuartusに受け渡す。
.sdcQuartus Prime
Timing Analyzer
Synopsys Design Constraints file
制約条件を記述するファイル
.sdoTiming Analyzer遅延情報が書かれた業界標準フォーマットのファイル。
.sipIP設定ファイル?
.sofQuartus PrimeSRAM Object file
QuartusのプログラムをFPGAのRAMエリアに書き込むファイル
.sopcinfoPlatform Designer
Nios II Software Build Tool
System-on-Programmalbe-Chip 情報ファイル
Platform Designerで連結されたデバイス群の情報。 Nios IIマイコンソフトSBに情報を受け渡すためのファイル。
.spdModelSimシミュレーションスクリプト生成の為のファイルリストと初期化する情報が入ったファイル
.tdfText Design File
Alteraが開発したADHL記述されたLPMのパラメータ設定するためのファイル
 
.vQuartus PrimeVerilogで記述されたプログラムファイル
.vhdQuartus PrimeVHDLで記述されたプログラムファイル
.voサードパーティーEDAツール用Verilog Output File
配線後のNetlist
.vhoQuartus PrimeVHDL Output file
.vqmQuartus PrimeVerilog Quartus Mapping
ノードレベルのNetlist
_bb.vQuartus PrimeVerilogのブラックボックスファイル
_inst.vQuartus PrimeIP Coreのインスタンス化されたVerilogファイル
xxx_defconfigU-BOOTディレクトリで、
make xxx_defconfigでU-BOOTをビルドする
SoC用
デバイス毎に異なる
使用するデバイスツリーはdefconfigで指定される。
socfpga_cyclone5_defconfig
socfpga_arria5_defconfig
socfpga_arria10_defconfig
socfpga_stratix10_defconfig
socfpga_agilex_defconfig
ハンドオフQuartus Primeからpin設定、SDRANコントローラ、HPSオプション変更等の情報が引き継がれる。SoC用
デバイスファミリーにより異なる。
HPS:Hard Processor System:SoCのARMがある部分。 XilinxではPS。
.hSoC用
ボード固有の定義を含むヘッダーファイル
include/configs/.h
.dts
.dtsi
Device Tree Source
Device Tree Source include
デバイスや周辺の構成や設定。
arch/arm/dts下
iocsr_config.hHPS 側 I/O の設定
pinmux_config.hHPS 側 I/O の機能選択
pll_config.hHPS 内部 PLL のクロック設定
sdram_config.hSDRAM コントローラーの設定

 

コメント

タイトルとURLをコピーしました